UTSA Electronic Theses and Dissertations
Permanent URI for this communityhttps://hdl.handle.net/20.500.12588/2226
This collection contains electronic UTSA theses and dissertations (ETDs), primarily from 2005 to present. The collection is not comprehensive; search the UTSA Library Catalog for a complete list of UTSA theses and dissertations.
Since 2023, the UTSA Graduate School has required all theses and dissertations to be made publicly available in Runner Research Press. However, authors are able to request an embargo. Embargoed ETDs will not be downloadable until after their embargo expires.
Authors of these ETDs have retained their copyright while granting UTSA Libraries the non-exclusive right to reproduce and distribute their works.
There are two collections of Master’s and Doctoral ETDs. One is available only to currently enrolled UTSA students, faculty or staff. To be able to download an ETD that is UTSA access only, navigate to “Log In” on the top right-hand corner of this screen, then select “Log in with my UTSA ID.”
Open Access ETDs are those which the author has granted permission for their work to be available to the general public.
Former students are invited to broaden access to their thesis or dissertation by making it available in the Open Access collection. To initiate this process, or if you have any questions about the ETD collection, please contact rrpress@utsa.edu.Browse
Browsing UTSA Electronic Theses and Dissertations by Department "Electrical and Computer Engineering"
- Results Per Page
- Sort Options
Item 2-D Quaternion Discrete Fourier Transform in Color Image Enhancement(2017) John, AparnaResearch problems are related to developing enhancement methods using 2-D quaternion discrete Fourier transform, which preserve the color integrity of the image while processing. In all our proposed enhancement methods, the enhancement of the color image is done by moving color images to quaternion space and using transform based enhancement techniques. The quaternion number is a four-dimensional hyper-complex number and the Fourier transform in quaternion space are many and are primarily defined as right-sided, left-sided, and two-sided quaternion discrete Fourier transform. By developing fast algorithm for computing the four-dimensional 2-D quaternion Fourier transform we are able to use enhancement methods based on Fourier transform. Traditionally, color images are enhanced by applying the enhancement methods on each of the channels separately. This channel-by-channel approach does not consider color as a single unit and therefore such methods compromises the color identity of the image-objects. But, we have analyzed many enhancement methods which keeps intact the color identity of the image-object in images in RGB color models, and other color models such as XYZ, CMY, and CMYK. A few of the proposed enhancement methods implemented using the quaternion Fourier transform are alpha-rooting, alpha-rooting with spatial transformation, zonal-alpha-rooting, modified alpha-rooting, and retinex followed by the alpha-rooting method. Preliminary experimental results show that the proposed methods are effective when compared with component-wise enhancement methods. The enhancement is quantitatively measured by a proposed enhancement measure estimation. The optimum choice of alpha is determined by a genetic algorithm which is a robust optimization method. In our research, we also propose a few other quaternion based enhancement methods implemented in quaternion space in spatial domain including alpha-rooting in spatial domain which are found to be effective tools for processing color images. The application of enhancement methods specifically on medical images and underwater images show good results.Item 2D Materials and Heterostructures for Addressing Critical Societal Problems(2022) Sebastian, Ann RoseThe demand of electric vehicles (EVs) is rapidly growing in the automotive industry due to its high energy efficiency, less emission of CO2 gases and other air pollutants. Many countries are promoting the use of electric vehicles for a better environmental system that is less dependent on fossil fuels and for enhanced mobility around the society. Despite the widespread use of electric vehicles nowadays, there are still many limitations such as a limited driving distance, a long charging time, and a relatively high cost. Therefore, among many other societal problems that the nation faces, this doctoral dissertation study aims to take the EV as the model system that our novel engineering approaches can contribute to make more viable and reliable. In this work, we focused on two critical challenges of electric vehicles: a relatively short driving range (or a relatively long charging time) and a nation-wide semiconductor chip (sensor) shortage. We show that both challenges can be well addressed by taking advantage of unique functionalities of 2D materials and heterostructures. Firstly, we have developed a novel wireless charging system prototype for EV by using LEDs which are powered by piezoelectric 2D nanomaterials (MoS2) as the energy transmitter source and thin film solar panels placed at the bottom of the vehicle as the receiver. This will ultimately deliver the harvested energy to the vehicle's battery. Next, we have investigated the potential of 2D materials to become the high-sensitivity temperature sensing platform for use in EVs. This is very critical because temperature sensors need to protect various semiconductor parts, electronics, and the battery system from overheating. EVs specifically require high-performance temperature sensors of a smaller size, lighter weight and higher energy-efficiency while operating at a wider temperature range. Meeting these requirements using conventional temperature sensors is challenging due to a complicated fabrication or signal processing process required. Here, we have studied the temperature-dependent spectroscopic and electrical characteristics of MoS2, MoS2-PtSe2, and MoS2-PtTe2 for the purpose of exploring them as the next-generation temperature sensor, finding that the MoS2-PtTe2 heterostructure exhibits the enhanced temperature sensitivity.Item 3D Object Detection for Autonomous Vehicles Perception Based on a Combination of Lidar, Radar, and Image Data(2021) Sahba, RaminOne of the topics that is highly regarded and researched in the field of artificial intelligence and machine learning is object detection. Its use is especially important in autonomous vehicles. The various methods used to detect objects are based on different types of data, including image, radar, and lidar. Using point clouds is one of the new methods for 3D object detection proposed in some recent work. One of the recently presented efficient methods is PointPillars network. It is an encoder that can learn from data available in a point cloud and then organize it as a representation in vertical columns (pillars). This representation can be used for 3D object detection. in this work, we try to develop a high-performance model for 3D object detection based on PointPillars network exploiting a combination of lidar, radar, and image data to be used for autonomous vehicles perception. We use lidar, radar, and image data in nuScenes dataset to predict 3D boxes for three classes of objects that are car, pedestrian, and bus. First, we obtain probability map for each class for different types of data. Then we use an element-wise product to calculate the fused probability map from the obtained probability maps for each type of data. We also suggest a method to combine different types of input data (lidar, radar, image) using a weighting system that can be used as the input for the encoder. To measure and compare results, we use nuScenes detection score (NDS) that is a combined metric for detection task as well as mean Average Precision. Results show that increasing the number of lidar sweeps, and combining them with radar and image data, significantly improve the performance of the 3D object detector.Item 3D simulation of scar formation post myocardial infarction using CT images(2012) Johnson, Daniel G.BACKGROUND: Myocardial infarction is a major contributor to death and disability worldwide. The key to reducing the devastating effects of myocardial infarction is to better understand the effects of the scar formations in the anterior left ventricle wall of the heart, and to one day restrict scar tissue growth. This study develops a method for simulating scar tissue growth post myocardial infarction on computed tomography (CT) heart images. METHODS: In order to simulate scar tissue formations, I used CT images of mouse hearts and imported them into Slicer, a C++ coded, NIH-funded software designed for processing medical images in research studies. Canny edge detection was performed to segment the left ventricular wall and measure its thickness. A module was designed inside the Slicer frame work that outputs a series of images displaying scar tissue growth on the left ventricle based on user input of scar location and maximum size. These images were then displayed in a time simulation based on a nonlinear growth rate function derived from experimental data. RESULTS: Binary threshold. Outside threshold, and Canny edge detection filters successfully segmented the wall of the left ventricle and measured its length. The module developed in Slicer demonstrated the ability to generate 3D images of scar tissue growth in the left ventricle. The scar growth was measured and found to be comparable to the input value for scar sizes smaller than thickness of the wall of the left ventricle. These images were displayed in a time simulation that matched an experimentally derived function for scar tissue growth rate.Item 3D-printed Mobile Assistance Platform (MAP) for rehabilitative robotics(2015) Wineman, EricWhile assistive robotics is not new, research into the category of an assistive walker has been narrow. This research is to conceptualize and prototype a Mobile Assistance Platform for the rehabilitation and daily use of the elderly. Research was conducted via a comparison with current assistive walker options and expanded upon current assistive options by transforming a standard walker into an intelligent assistive robotics platform. The platform was constructed using many open source options to decrease the cost of the platform and allow for a high degree of flexibility for potential future developers. 3D-Printing was also utilized to allow for a quick construction of a semi-functional prototype by circumventing traditional design methods and constraints. Primarily, this robotic platform improves on past designs by providing a framework for multi-node communication and multi-system control by integrating the Robot Operating System (ROS) as the primary software interface. ROS improves on past robotic design by interfacing across multiple platforms like Arduino and Android; this greatly broadens the scope of options that designers have to eliminate issues relating to supporting elderly with assistive robotics.Item A Case Study: Establishing Boolean Network Model for Macrophage Polarization(2017) Ramirez, RicardoBoolean networks have been implemented to characterize biological pathways of cells performing a particular function. Macrophages secrete cytokines to communicate with other nearby cells to pivot an inflammatory response or anti-inflammatory. Using a combination of MATLAB and the BNLearn package in R, we used BNLearn package in R containing a few types of methods that can create a network with the given input file in this study. A combination of constraint, score based and hybrid algorithms such as hill-climbing, Incremental Association, max-min hill-climbing and man-min parent children methods were performed to establish Boolean network mode using temporal profiles of macrophage secretion We established a Boolean model for macrophage polarization post-MI. Based on the network structure, semi-tensor product has been applied to represent logical functions with a linear representation in mathematical equations. A MATLAB package was developed to simulate the evolution of the Boolean network and to illustrate how the evolution of each cytokines being expressed as time progresses. Further, the controllability verification helps to check if using one of the inputs to pathways can lead to the desired output status or not. The dynamic progression of the Boolean model for macrophage polarization helps to understand the inflammatory response of a macrophage cell and implement this research into the medical application.Item A cloud based radio access network architecture for 4G and smart grid communication systems(2013) Nagothu, KranthimanojThis thesis develops the next logical evolution of highly advanced wireless network infrastructure to support 4G and beyond: the integration of cloud data center systems, radio access network technology, and advanced applications such as smart grid. The cloud data center systems we introduce and analyze are capable of hosting radio access networks denoted as C-RAN (cloud radio access networks). Several C-RAN system challenges involving delay caused by wireless, computational, and network have been analyzed and solutions are presented in this thesis. In addition, in this thesis we develop the architecture for a C-RAN based cognitive radio (CR) smart grid communication networks. Our network architecture enables metropolitan scale cognitive radio based smart meter networks. CR networks however impose unique challenges resulting from high fluctuation in available spectrum in both time and space. The C-RAN framework is used to develop interference-aware resource management scheme that exploits dynamic channel allocation reuse technique to share the spectrum. Our simulation result show 5xtimes increased cell capacity and fair resource allocation in CR networks.Item A combined finite-time speed and yaw controller for an underactuated unmanned surface vessel using way-point navigation(2013) Baker, BrendanThis thesis focuses on the speed and yaw control problem for an underactuated unmanned surface vehicle (USV) with only two propellers navigating through multiple way-points using the line-of-sight (LOS) algorithm. The speed and yaw dynamics are transformed into a cascaded nonlinear system that can be reduced to the stabilization control problem of the surge and yaw subsystems. Both the surge speed and yaw rate controllers are stabilized via finite-time controllers with the surge speed incorporating an acceleration term that uses distance and heading error to vary its speed accordingly when the combination of the error magnitudes call for such change. Comparisons of the traditional stabilization control techniques are made with the proposed finite-time controllers and shown to be inferior to the finite-time controllers in terms of convergence rate and robustness. The stability and effectiveness of the proposed control system is demonstrated and validated by simulation results of a modeled kayak.Item A Comparison of Cell Type Identification for Single-Cell RNA Sequencing Data Analysis(2021) Lu, WeimingSince single-cell RNA sequencing (scRNA-Seq) was introduced to the biology community, it has been a powerful tool for different applications. The developers in the community released several open-source software packages to analyze high-throughput biological data rapidly. The data analysis pipeline has become relatively straightforward to the community. However, the cell type identifying method remains the most challenging part throughout the scRNA-Seq analysis workflow and relies heavily on prior knowledge when defining cell type manually. Fortunately, more computational approaches on cell type annotation were releasing with recent advancements in technologies. However, the results appear to be varied when comparing one another approaches. In this dissertation, various computational techniques for cell-type identification are surveyed, and their performances are evaluated on benchmark scRNA-Seq datasets. This study facilitates the prospective users to select existing technologies for cell type identifications in single-cell scRNA-seq analysis.Item A Compilation of Digital Audio Signal Processing Techniques and Implementations in Real-time along with a Modified and Weighted Convolution Effect(2018) Wilson, Daniel P.Digital Audio Signal Processing is most often used as a tool not to enhance or preserve audio signal quality, but to add artistic modifications and unique sounds to the audio signals. A detailed state of the art of current audio effects is covered in Chapters -8, along with practical real-time implementations of each effect through the use of digital recording software. Audio examples of each effect are provided through the use of an extraction algorithm provided at the end of this paper. After providing a thorough history of various categories of audio effects, a new and intriguing audio effect is introduced in Chapter 9 that is created through circular distortions of convolution that create harmonics in the audio signal. The methodology and implications of such a modified and weighted convolution effect are outlined and discussed. Lastly the effect is applied to various audio signals in order to determine the implications of the effect on different instruments and to also provide suggested uses.Item A Complete, Automated and Scalable Framework for Science and Engineering(2020) Demir, MevlutCutting edge research today requires researchers to perform computationally intensive calcu- lations and/or create models and simulations using large sums of data in order to reach research- backed conclusions. As datasets, models, and calculations increase in size and scope they present a computational and analytical challenge to the researcher. Advances in cloud computing and the emergence of big data analytic tools are ideal to aid the researcher in tackling this challenge. Al- though researchers have been using cloud-based software services to propel their research, many institutions have not considered harnessing the Infrastructure-as-a-Service model. The reluctance to adopt Infrastructure as a Service in academia can be attributed to many researchers lacking the high degree of technical expertise needed to design, procure, and manage custom cloud-based infrastructure. In this dissertation, I propose a comprehensive solution consisting of a fully inde- pendent cloud automation framework which will allow researchers to create and utilize domain- specific cloud solutions irrespective of their technical knowledge, reducing the overall effort and time required to complete research. Furthermore, modern research often involves interdisciplinary collaboration and is reliant on computer systems to support this endeavor. This dissertation also proposes SciStack, a research collaboration-oriented platform, under the Solution-as-a-Service cloud model that combines as- pects of social discussion media, cloud resource management, and storage repositories to serve as a one-stop solution for computational research collaboration, reproduction and verification. An initial design is highlighted for SciStack, and the use cases are shared.Item A Dynamic IQ-Capping Technique for Simultaneous Multi-Threading Processors(2017) Wei, WeiSimultaneous multithreading which is called SMT is a technique provides a platform to improve the overall performance of superscalar CPUs with hardware multithreading. SMT allows multiple independent threads to execute at the same time and shares key data-path to achieve better resource utilization. Because of the transient behaviors of threads, designing an effective architecture to better utilize critical resources among threads is still a challenge in SMT. Such as Issue Queue (IQ), which is one of the most critical shared resources in the pipeline. To better utilize shared IQ resource, we propose a simple dynamic algorithm to accommodate the transient behavior of different threads and reduce over-capping situation for each threads. This algorithm dynamically adjusts the cap value for each thread according to its activeness in terms of its IQ occupancy time. The simulation results show that the proposed technique achieves a significant improvement in terms of IPC throughput over the regular no-capping technique.Item A fast asynchronous approximate adder with error correction(2015) Kodali, Tarak KartheekInteger addition is the most important process used in computer systems and related applications, since it is the fundamental process used in all other integer and floating point arithmetic operations. Addition process is also used in the Microprocessor to calculate the address of the operands, instructions, memory storage etc. Many adder designs have been proposed in order to enhance the overall system performance. Approximation has proven to be a very effective approach which results in faster outputs compared to non-approximation techniques, even though it has the intrinsic drawback of producing a potentially incorrect result. This thesis proposes an approximate adder design with an error correction capability incorporated. Due to its built-in completion-detection mechanism, the proposed design is suitable for an asynchronous or variable-latency processing environment, and can deliver an expected completion time much shorter than all well-known parallel adders. Three different adder topologies viz. the Brent-Kung adder, Kogge-Stone adder and Approximate Adder with Error Correction were designed for 32-bit addition and each circuit was simulated using HSPICE for the 45nm, 65nm, 90nm and 180nm technology nodes. Predictive Technology Model (PTM) BSIM4 transistor models were used for all the simulations. The results of the simulations were analyzed and the trends in leakage power, dynamic power and delay among adders implemented were observed. The overall results indicate that the use of Approximate Adder with Error Correction resulted in less power consumption and fewer delay among well-known parallel adders, i.e., Brent-Kung and Kogge-Stone adders.Item A fast map reduce algorithm for exact-repair reconstruction of big-data in cloud storage(2014) Qin, XueIn the distributed cloud storage for big data systems, there is a need for exact repair, high bandwidth codes. Instead of simply replicating the entire data, exact repair only focus on the error ones. The challenge for exact repair in big-data storage is to simultaneously enable the very high bandwidth repair using Map-Reduce, Simple Regenerating Code schemes and to combine with maximally distance separable (MDS) exact repair for the rare, but exceptional outlier error patterns requiring optimum erasure code reconstruction. In this thesis, we apply an optimum fast bandwidth repair algorithm for a big-data source. We build a cloud system framework to place this big-data source. And through the specific allocation we are able to use exact repair reconstruction (simple regeneration code). We also propose an innovation to the Map-Reduce so that we can apply our reconstruction in parallel. With the tremendously fast copy speed in Hadoop system and up to 2/3 code rate for SRC, in both GF(2) and GF(q) field. This cloud system will show up a better performance.Item A Flexible Low Power Cyclic Redundancy Check Algorithm Using Reduced Lookup Tables in Parallel(2022) Barton, DylanCyclic redundancy checks are widely used today to ensure data integrity. This is a method of checking data for corruption that is used in data communications, ethernet, and other broadcasting methods. This method of checking for data corruption is extremely flexible, and can be tailored to meet the requirements of many systems and devices. Using hardware or software, cyclic redundancy checks take an input message and does modular arithmetic with a generator polynomial specific to the task. When the arithmetic is done, these values are attached to the input message and sent to be checked upon arrival. Upon arrival, the arithmetic is done again, and if there is no remainder then the data is fine, otherwise an error will be detected. This research investigates and studies popular cyclic redundancy check algorithms and proposes a new flexible low power algorithm for 32, 64, and 128-bit input lengths. Each algorithm is implemented and simulated in Verilog using Vivado by Xilinx. Synopsys design compiler is then used to estimate the power, area, critical timing, and to implement clock and power gating on synthesis at 45nm technology node. Lastly, each design is realized using Cadence Innovus in 180nm technology node for the layout of each chip design. The proposed design is highly flexible and was able to decrease the area and power by over 20%. It also exhibited the least power and area for 64- and 128-bit input length when using clock and power gating. Lastly, because the proposed design uses a reduced number of lookup tables the memory requirement for the implementation is less compared to other designs.Item A framework to detect "device related" data collection violations of android apps(2015) Haque, Md. FarhanAndroid apps are one of the widespread applications in smart phones. Android apps provide privacy policies to illuminate users about the information processing during application usage. Android apps claim to collect both personal data such as name, email, credit card number etc. and non personal or device related data such as IP address, operating system, MAC address etc. in their privacy policies. Non personal or device related data provide sensitive user information about user's location, operating system configuration, device identifiers like MAC or IP address and poses a greater threat of privacy violations to users. However, the lack of mechanisms to detect consistencies of app's device related data collection with respect to the privacy policies makes difficult for app developers to ensure implementation without violation. In this research, a frame work has been developed to aid the developers to detect violations of privacy policies in app's implementation. The framework is designed as a plugin tool for Intellij IDEA which is the official Integrated Development Environment (IDE) for professional android app development. The plugin is named as "PrivacyPlugin" which bridges the gap between privacy policy and source code implementation from developers perspective. The plugin takes "device related" data collection of a privacy policy as an input and analyzes the data to generate a list of related apis. The plugin also examines source code of an app to generate the list of apis used for static method calls and compares two generated lists to detect unauthorized apis in violations. The plugin also suggests device related phrases or keywords to be included in the privacy policy based on violation results. The developed plugin's performance is evaluated through unit and function testing with proper test plans and test cases. To summarize, the "PrivacyPlugin" provides an analysis of "device related" data collection violations of android apps to the developers and suggestions to include required "device related" phrases or keywords in privacy policies to avoid violations.Item A fuzzy logic approach to load balancing in augmented reality distributed environments(2010) Panchul, Aleksandr V.By the end of the 20th century the Gordon Moor's Law could not be fulfilled by the industry in its straight form. His widely known estimation predicted in 1965 that the number of transistors in the minimum-cost CPU would double every year. The fact of physics, however, is that the feature size of a microchip cannot become zero. He adjusted his prediction shortly after by claiming every two year cycle, and later CPU manufacturers circumvented the limitations by starting putting several processing units into one chip. Other ways to increase performance include putting multiple CPUs on one motherboard, multiple printed circuit boards in one computer, or a number of separate computers in a distributed cluster. A distributed system is the most promising way because it can interconnect computers with different hardware, lowering the costs of maintenance and upgrade. Scalability is very important for successful operations. For the multi-processor systems load balancing is capable of generating additional increase in performance, hence lowering overall cost of equipment and software. With the growing scale of distributed systems load balancing becomes an essential issue. The virtualization of resources including CPU time, network access and data storage becomes ubiquitous. Virtual systems are a multi-billion dollar industry and a very important technology for military, air-space applications, and consumer service providers. Cloud computing is becoming a strong niche for IT companies of all sizes. This dissertation proposes, among other things, an artificial intelligence approach for load balancing, and its analysis for distributed systems running augmented reality simulation tasks. Fuzzy logic paradigm is one of the few effective techniques for load balancing when tasks are extremely volatile and unpredictable. The quantitative comparison was done for fuzzy logic load balancing intended for use in video stream generation within prototype comprehensive simulator ISE (Initiative Software Earth), a project of Computer Engineering Framework (CEF). Besides 3D visualization and digital communication simulation for robotic swarm interactions, other applications of the proposed approach include signal acquisition and signal and bitmap encryption. The mechanisms of virtualization with entitlement control make the test system a prototype cloud computing grid. The set of the rules put into the inference engines of the proposed approach have been proven to be sufficient to achieve the desired homogeneity of the CPU loads and other relevant parameters, hence increase the overall system's performance.Item A GPS software receiver implementation on open-source platforms using USRP RF front-end(2010) Ramachandran, Ganesh KumarA GPS receiver is a boon to mankind that is operated on a microcontroller chip which does all the signal processing. The first generation GPS receivers mainly made use of hardware for almost all the processing required. Using hardware is not always the best solution everywhere and it's apparent that a software GPS receiver implementation provides a great deal of flexibility and a cost effective solution. A receiver performs acquisition of satellites, tracking of the acquired signals and position computation in order to deliver a user position fix. During the tracking of signals, most of the available software GPS receivers make use of the conventional three correlator approach which is time consuming because of the various number of multiplications involved in extracting the navigation data bits from the received signal. These multiplications are time consuming and delay the process of tracking resulting in a late position fix. In GPS, code modulated signals are transmitted by several satellites that orbit the earth and received by GPS receivers of which the current position is to be determined. The Doppler frequency estimate and code offset parameters of a satellite signal are given by an initial synchronization called acquisition, followed by a fine synchronization called tracking. The main purpose of tracking is to continuously refine these values using feedback loops. For example, the code tracking loop in the GPS receiver, called delay lock loop (DLL), is based on correlations with multiple replica codes delayed and advanced in code phase. The outputs of correlators are fed into a discriminator which estimates the tracking code phase error and DLL adjusts phases to approximate received code. Advanced tracking loops use multiple correlators for more accurate tracking and multipath mitigation. This is a challenge for so-called real time software GPS receiver implementations. Here we study test bed design options based on GNU-Radio open source and GPS-SDR open source project development platforms. GNU radio native environment allows easy incorporation of custom C/C++ units which accelerates the system faster and make it suitable for processing real GPS signals in real time. The benefits of using GNU radio SDK is that it provides already an interface between the software and USRP hardware. On the other hand GPS-SDR open source is one of the existing real time C/C++ based GPS receivers which can be used to evaluate the overall performance of the system after modifying the conventions receiver by advanced C/C++ GPS receiver blocks. The implementation provides details on signal processing speed and enhancements of the conventional GPS receiver's implemented using GNU radio and GPS-SDR open source platforms including advanced novel acquisition and tracking units.Item A high level synthesis of GPS acquisition(2014) Samudrala, MadhuriWith increasing complexity of system on chip designs, it is becoming harder to generate register transfer level structure. High level synthesis tools have become the tool of choice for designing ASSIC/FPGA's. A High level synthesis tool converts the algorithmic specification of a digital system to register transfer level (RTL). This thesis talks in detail on how a High level synthesis tool simplifies the design of large & complex hardware thereby improving the speed of a GPS Acquisition. Main goal of this research is to improve the performance of a GPS Acquisition by converting the algorithm code of the respective design to RTL design Algorithm.Item A Low Latency Low Area Floating Point Multiply-Accumulate Unit for DNN Acceleration(2021) Baez, Nicolas ChristopherDigital systems use multiply-accumulate (MAC) units to perform the multiply-accumulate operation. MAC units form the very foundation of a variety of different applications such as digital signal processing, deep learning, or artificial intelligence, each of these applications require repetitive computations of multiplication and addition. MAC units are ideal of these operations. Most of these applications rely heavily on the speed of the computations of the adders and the multipliers being used in the MAC units. Deep Neural Networks (DNN), has recently gained popularity in scientific computing, and is widely used to solve complex problems. A convolutional neural network (CNN) which is a popular group of DNNs have shown considerable performance in many applications, such as image processing, signal processing, pattern recognition, and computer vision. In these networks, convolution operations account for more than 90% of the computations. MAC units are the primary component that performs these convolution operations in deep neural networks. The primary objective of this thesis is to design and implement mixed-precision MAC units for low latency and low area for deep neural network acceleration. This research investigates 16-bit MAC units designed with different multiplier and adder algorithms. MAC units with various multiplication – adder combinations are analyzed and the best combination in terms of latency and area are implemented. The MAC units are designed and implemented in Verilog and synthesized and simulated using Vivado by Xilinx. The realization of the design is carried out using Cadence Innovus using 45nm TSMC technology nodes. Lastly, the timing, power, and area reports are generated by using Synopsys Design Compiler.